The Use of Cad Tools in Power Device Optimization

Abstract

Modern semiconductor manufacturing technology permits the integration of power integrated circuits with per-chip component densities reaching into the millions. This high-density capability, however, will only be utilized if the design costs can be limited to reasonable levels. Widely accepted for VLSI projects, the use of computer-aided design (CAD) tools has recently become a standard procedure in the development of power technologies and the design of new device structures and circuits. This paper attempts to give an overview of the status quo of CAD tools in power IC design, especially the use of simulation tools and computer- aided layout techniques. We illustrate the possibilities of these tools with examples from a recent MCT design effort.

Publication
Power Semiconductor Devices and Circuits
Nancy Hitschfeld Kahler
Nancy Hitschfeld Kahler
+Lab founder | Full Professor Universidad de Chile

Full Professor at the Department of Computer Science, University of Chile. Her main research interests include geometric modeling, geometric meshes, and parallel algorithms (GPU computing), focused in computational science, and engineering applications.